Booth Encoded Bit-Serial Multiply-Accumulate Units with Improved Area and Energy Efficiencies

نویسندگان

چکیده

Bit-serial multiply-accumulate units (MACs) play a crucial role in various hardware accelerator applications, including deep learning, image processing, and signal processing. Despite the advantages of bit-serial MACs, such as small footprint, full utilization, high frequency, their serial nature can lead to latency potentially compromised performance. This study investigates potential solutions by applying Booth encoding multipliers within MACs enhance area power efficiencies. We present two types based on radix-2 radix-4 multipliers, respectively. Their performance is assessed through simulations synthesis results, demonstrating benefits proposed approach. The MAC improves efficiencies compared original MAC. Operating at TSMC 90 nm 150 MHz, our design exhibits remarkable 96.39% reduction area-power-product (APP). Moreover, prototype verification Xilinx Kintex-7 FPGA proved successful. solution offers significant energy efficiency, reduction, APP, making it promising candidate for next-generation accelerators offline inference, low-power devices, other applications.

برای دانلود باید عضویت طلایی داشته باشید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Reduced Redundant Arithmetic Applied on Low Power Multiply-Accumulate Units

We propose a new redundant approach on designing multiply-accumulate units for low power. State of the art implementations make use of redundant registers to obtain low delay times by moving any carry propagate adder out of the operation cycle. Our contribution is optimizing the level of redundancy by adjusting the size of the carry register. This optimization is performed by a VHDL generator, ...

متن کامل

Low Complexity Multiply-Accumulate Units for Convolutional Neural Networks with Weight-Sharing

Convolutional neural networks (CNNs) are one of the most successful machine learning techniques for image, voice and video processing. CNNs require large amounts of processing capacity and memory bandwidth. Hardware accelerators have been proposed for CNNs which typically contain large numbers of multiplyaccumulate (MAC) units, the multipliers of which are large in integrated circuit (IC) gate ...

متن کامل

An Area-Efficient Bit-Serial Integer Multiplier

This paper presents the design of a new multiplier architecture for normal integer multiplication of positive and negative numbers. It has been developed to increase the performance of algorithms for cryptographic and signal processing applications on implementations of the Instruction Systolic Array (ISA) parallel computer model [6,7]. The multiplier operates least significant bit (LSB)-first....

متن کامل

Modified Multiply and Accumulate Unit with Hybrid Encoded Reduced Transition Activity Technique Equipped Multiplier and Low Power 0

This paper explores the design approach of a low power high performance Multiply and Accumulate (MAC) unit with Hybrid Encoded Reduced Transition Activity Technique (HERTAT) equipped multiplier and low power 0.13μm adder. The developed low power MAC unit is verified for image processing systems exploiting insignificant bits in pixels values and the similarity of neighboring pixels in video stre...

متن کامل

Parallelized Booth-Encoded Radix-4 Montgomery Multipliers

This paper proposes two parallelized radix-4 scalable Montgomery multiplier implementations. The designs do not require precomputed hard multiples of the operands, but instead uses Booth encoding to compute products. The designs use a novel method for propagating the sign bits for negative partial products. The first design right shifts operands to reduce critical path length when using Booth e...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

ژورنال

عنوان ژورنال: Electronics

سال: 2023

ISSN: ['2079-9292']

DOI: https://doi.org/10.3390/electronics12102177